CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - 交通灯 控制器

搜索资源列表

  1. 十字路口交通灯控制器设计

    0下载:
  2. 使用VHDL实现十字路口交通灯控制器设计,适用于初学者-use VHDL crossroads traffic signal controller design, applicable to beginners
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:29495
    • 提供者:沈燮勇
  1. 交通灯控制器

    0下载:
  2. 交通灯控制系统
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2009-05-27
    • 文件大小:5602
    • 提供者:liuchenjun
  1. 交通灯控制器设计

    3下载:
  2. (一) 设计交通灯控制的软硬件,实现交通灯的控制。 (二) 交通灯的红、绿、黄灯亮灯时间可以通过键盘设定。 (三) 交通灯控制要有直道、弯道及非机动车的通行、停止控制。 (四) 在某一方向通车或停车时,要有动态的指示,消除司机的烦躁情绪。 (五) 红灯停、绿灯行、黄灯停止未过线的机动车和停止方向的预启动。 (六) 黄灯亮的时间不可改变,预定5秒钟。
  3. 所属分类:源码下载

  1. 十字路口交通灯控制器

    0下载:
  2. 十字路口交通灯控制器,是课程的结课设计报告,自己写的verilog语言,在quartus ii环境下仿真,具有参考意义。
  3. 所属分类:Windows编程

  1. 交通灯控制器

    0下载:
  2. 很久以前自己写的VHDL实现的交通灯控制器~ 动态数码管控制。altera平台
  3. 所属分类:VHDL编程

    • 发布日期:2011-11-12
    • 文件大小:334706
    • 提供者:congyong1988
  1. sybg

    0下载:
  2. 交通灯控制器实验报告--- SOC课程设计 一.实验功能 该交通灯控制器,能完成以下功能: ⒈ 显示交通灯的红、黄、绿的指示状态 用L1、L2、L3作为绿、黄、红灯; ⒉ 能实现正常的倒计时功能: 用M2、M1作为南北方向的倒计时显示器,显示时间为红灯55秒,绿灯30秒,黄灯15秒。 ⒊ 能实现特殊状态的功能 (1) 按S1后,能实现特殊状态功能; (2) 显示器M2M1闪烁; (3) 计数器停止计数并保持在原来的状态; (4) 显示红灯状态; (5) 特殊状态解除后能继续计数; ⒋ 能实现总体
  3. 所属分类:Applications

    • 发布日期:2017-11-20
    • 文件大小:43511
    • 提供者:晨曦
  1. starclock

    0下载:
  2. 用DE2开发交通灯控制器,完整的代码和教程,包括电路图-DE2 development with traffic lights controller, a complete code and tutorials, including the circuit diagram
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:88737
    • 提供者:小绵羊
  1. jtdkz

    0下载:
  2. 这是交通灯控制器的设计系统,里面有文字说明以及详细的图形,希望大家喜欢-This is a traffic light controller system, there are text and detailed graphics, hope you like
  3. 所属分类:source in ebook

    • 发布日期:2017-04-03
    • 文件大小:239761
    • 提供者:小刚
  1. jiaotongdeng

    0下载:
  2. 交通灯控制器 功能全 学校课程设计可用 希望大家用得上-Traffic lights controller design features the entire school curriculum can be used hope that useful
  3. 所属分类:Education soft system

    • 发布日期:2017-04-12
    • 文件大小:1339
    • 提供者:许华杰
  1. Design_of_Traffic_Light_Controller_Based_on_VHDL.r

    0下载:
  2. :传统的交通灯控制器多数由单片机或PLC来实现,文中介绍了基于VHDL硬件描述语言进行交通灯控制 器设计的一般思路和方法。选择XIL INX公司低功耗、低成本、高性能的FPGA芯片,采用ISE5. X和MODELSIM SE 6. 0开发工具进行了程序的编译和功能仿真。最后给出了交通灯控制器的部分VHDL源程序和仿真结果,仿 真结果表明该系统的设计方案正确。-Traffic light controller is usually developed bymicro p rocesso
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:434672
    • 提供者:li
  1. traffic_controller

    0下载:
  2. 实现交通灯控制器的vhdl编程,并且经过下载验证-Implementation of traffic light controller VHDL programming, and has gone through a download authentication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1475166
    • 提供者:电子时钟
  1. jtd

    0下载:
  2. 这个是用verilog语言编写的基于FPGA的交通灯控制器,分别控制四个方向上的交通灯的通断-The verilog language is FPGA-based traffic light controller, respectively, the four direction control of traffic lights-off
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:48263
    • 提供者:jyb
  1. jiaotongdeng

    0下载:
  2. 这是用FPGA实现的交通灯控制器,其中有完整的程序源码及其电路图,自己用过的,希望有些帮助-This is the light controller with FPGA realizing, including a complete program source and its circuit, by oneself, hope some help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:36760
    • 提供者:yanshuan
  1. 196947shizilu

    0下载:
  2. 使用VHDL实现十字路口交通灯控制器设计,适用于初学者-The realization of the use of VHDL crossroads traffic lights controller design for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:29298
    • 提供者:uptownman
  1. JiaoTongDeng

    0下载:
  2. 交通灯控制器,还有Proteus的使用例程,是目前最好的教程.入门教程,还不错的,看看吧!-Traffic light controller, as well as the use of Proteus routine is the best course. Getting Started Guide, but also good to see you!
  3. 所属分类:assembly language

    • 发布日期:2017-03-30
    • 文件大小:48505
    • 提供者:zipl19850114
  1. TLC

    0下载:
  2. 用VHDL语言写的交通灯控制器,希望对大家有所帮助。-VHDL language with the traffic light controller, you would like to help.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:2245
    • 提供者:zdh
  1. traffic_light

    1下载:
  2. 设计一个简单的交通灯控制器,交通灯显示用实验箱的交通灯模块来显示。系统时钟选择时钟模块的1Hz时钟,黄灯闪烁时钟要求为1Hz,红灯15s,黄灯5s,绿灯15s。系统中用CPU板上的复位按键进行复位。(Design a simple traffic light controller, traffic lights show the use of the experimental box traffic lights module to display. System clock select cl
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:504832
    • 提供者:qscf
  1. 交通灯信号控制器 可编程

    0下载:
  2. 交通灯信号控制,自己写的 编译成功无错误 可仿真(Design of Traffic Controller)
  3. 所属分类:其他

    • 发布日期:2018-05-04
    • 文件大小:86016
    • 提供者:斜风吟月
  1. 交通灯

    1下载:
  2. 实现交通灯路口自由控制,该交通信号灯控制器由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外,并有倒计时系统显示信号灯转换时间。用红、绿、黄发光二极管作信号灯,两位八段数码管显示时间来控制交通信号灯的变化。(Free control of traffic lights)
  3. 所属分类:单片机开发

    • 发布日期:2020-08-27
    • 文件大小:38912
    • 提供者:wjw250
  1. 交通灯

    1下载:
  2. 1.设计一个十字路口交通信号灯控制器,其要求如下: 设南北方向的红、黄、绿灯分别为r,y,g;东西方向的红、黄、绿灯分别为R,Y,G,满足图1 的工作流程并且可以并行工作:g(R)→r(G),黄灯用于提示绿灯变为红灯或者绿灯变为红灯。(1. Designing a traffic light controller for intersections, the requirements are as follows: Let the red, yellow and green lights in
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2019-12-17
    • 文件大小:495616
    • 提供者:雨狼007
« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com